首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

警告求助。。。。

警告求助。。。。

WARNING:Xst:2211 - "D:/example/scan/dds.vhd" line 58: Instantiating black box module <sin>.
WARNING:Xst:2734 - Property "use_dsp48" is not applicable for this technology.
WARNING:Xst:2404 -  FFs/Latches <ad_jun<29:12>> (without init value) have a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_10> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:2677 - Node <ad_d_4> of sequential type is unconnected in block <DDS>.
返回列表