首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ModelSim SE的几个操作:open,load,import,save format

ModelSim SE的几个操作:open,load,import,save format

直接启动ModelSim软件,界面如下:

提三点:
1)如果鼠标单击一下标记为1的部分,然后单击File,如下图所示:

可见open选项是可用的,单击open可以打开.v,.vhdl等源文件;
2)如果鼠标单击一下标记为2和3的部分,然后单击File,如下图所示:

可见open选项是可用的,单击open可以打开.wlf波形文件;
单击load,可以加载.do的文件,该文件的作用参考博文《modelsim中的两个操作:do wave.do 和combine signals 》(链接: http://xilinx.eetrend.com/blog/3996
3)import选项是加载library,没用过。
.do文件需要自己保存,执行File--Save Format...,保存的默认名字为wave.do;
.wlf文件不需要自己保存,仿真后你的目录下面自动会有一个vsim.wlf文件,保存着最新一次仿真的波形图。
其实在本博文中我最想说的是如何打开wlf文件,因为经常会遇到想打开上次仿真波形的情况。需要注意的是open的对象在鼠标定位在不同位置时是不同的。

来源:彬彬有礼的专栏
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表